teclado numérico

3 posts

placa_teclado_2

Leitura Assíncrona de Teclado

Leitura Assíncrona de Teclado O projeto a seguir pretende fazer a leitura de um teclado matricial sem a utilização de clock. Basicamente, quando uma tecla é pressionada o circuito identifica qual a linha e coluna correspondente e decodifica a tecla. O presente artigo apresenta um exemplo de projeto feito em VHDL […]

Teclado_kit_1

Placa de Teclado Matricial

Placa de Teclado Matricial Figura 1: Teclado Matricial Descrição do Circuito O teclado matricial é composto por 12 botões, distribuidos em 3 coluna e 4 linhas. Os botões, quando pressionados, conectam uma determinada linha a uma coluna, como será mostrado abaixo. As linhas e colunas são designadas por letras, em […]

placa_teclado_1

Varredura de um Teclado Matricial

Varredura de um teclado matricial O projeto apresentado abaixo tem o objetivo de ler um teclado matricial pelo processo de varredura, ou seja, testar linha por linha ou coluna por coluna para determinar a tecla pressionada. Neste projeto, como mostra a animação, são atribuídos valores as linhas de forma a […]